Archives and Documentation Center
Digital Archives

A systematic approach for register file design in FPGAs

Show simple item record

dc.contributor Graduate Program in Computer Engineering.
dc.contributor.advisor Yurdakul, Arda.
dc.contributor.author Yantır, Hasan Erdem.
dc.date.accessioned 2023-03-16T10:01:44Z
dc.date.available 2023-03-16T10:01:44Z
dc.date.issued 2014.
dc.identifier.other CMPE 2014 Y36
dc.identifier.uri http://digitalarchive.boun.edu.tr/handle/123456789/12261
dc.description.abstract For the future of computing, wide usage of heterogeneous and parallel architectures is indispensable since advances in technology scaling cannot satisfy the expected increase in performance of computational platforms anymore. FPGA is a promising platform for such computing systems due to its configurable structure. Each part of an FPGA can be configured to perform a different task that it is best suited for. Multiport and fast register files are very essential for this type of data intensive computational systems. Otherwise, available computational power cannot be utilized properly. When the characteristics of processing elements are different, such a system needs a heterogeneous register file (RF) that can serve different parts of the FPGA with different characteristics in terms of running frequency, data consumption/production rate, required number of ports, data widths, address spaces and endianness. In this dissertation, we firstly propose a new multi-port RF design which exploits the banking and replication of BRAMs with efficient shift register based multi-pumping (SR-MPu) approach. We also model this register file for the use of HLS tools. Finally, we propose a heterogeneous register file (HRF) architecture for FPGA-based heterogeneous systems. In this RF, world length and address spaces of the processing elements are adjustable. For the power and area reduction, the design takes advantage of frequency differences between processing elements by an efficient multi-pumping system. According to the literature, this is the first study on FPGA-based heterogeneous RFs. Experimental results show that both RF architectures outperform conventional RFs.
dc.format.extent 30 cm.
dc.publisher Thesis (M.S.) - Bogazici University. Institute for Graduate Studies in Science and Engineering, 2014.
dc.subject.lcsh Multiprocessors.
dc.subject.lcsh Registers (Computers)
dc.title A systematic approach for register file design in FPGAs
dc.format.pages xiii, 62 leaves ;


Files in this item

This item appears in the following Collection(s)

Show simple item record

Search Digital Archive


Browse

My Account